1.8-3优先编码器Verilog testbench怎么写?
8-3优先编码器Verilog testbench怎么写?
`timescale 1ns/psmodule testbench;
reg [7:0] data_in ;
reg s ;
wire [2:0] data_out;
wire gs ;
wire es ;
encoder8_3_LS uut(
.s (s ),源码
.data_in (data_in ),
.gs (gs ),
.es (es ),
data_out(data_out)
);
initial
begin
data_in = 0;
s = 0;
#; data_in = 1;
#; data_in = 2;
#; data_in = 3;
#; data_in = 4;
#; data_in = 5;
#; data_in = 6;
#; data_in = 7;
#; s = 1;
#; data_in = 0;
#; data_in = 1;
#; data_in = 2;
#; data_in = 3;
#; data_in = 4;
#; data_in = 5;
#; data_in = 6;
#; data_in = 7;
#;
$finish;
end
endmodule
如果觉得回答的还行,请采纳答案,源码素宇psd源码谢谢!源码量化技术源码
源码打印日志源码源码打印日志源码2024-11-19 01:32
2024-11-19 01:20
2024-11-19 01:08
2024-11-19 01:02
2024-11-19 00:56
2024-11-19 00:43
2024-11-19 00:11
2024-11-18 23:15